Yuav Ua Li Cas Simulate Nrog ModelSim - Altera

Cov txheej txheem:

Yuav Ua Li Cas Simulate Nrog ModelSim - Altera
Yuav Ua Li Cas Simulate Nrog ModelSim - Altera

Video: Yuav Ua Li Cas Simulate Nrog ModelSim - Altera

Video: Yuav Ua Li Cas Simulate Nrog ModelSim - Altera
Video: How to use ModelSim || Compile and Simulate a VHDL Code (for NAND gate) using ModelSim 2024, Tej zaum
Anonim

Peb sau cov xeem testbench thiab khiav lub simulation nyob rau hauv ModelSim ib puag ncig los ntawm Altera.

Altera ModelSim cuab tam
Altera ModelSim cuab tam

Tsim nyog

  • - khoos phis tawj;
  • - nruab ib puag ncig kev tsim kho Quartus II + ModelSim.

Cov Lus Qhia

Kauj ruam 1

Thawj kauj ruam yog los xyuas kom meej tias txoj hauv kev rau ModelSim cuab yeej tau teev tseg rau hauv Quartus II ib puag ncig kev tsim kho. Txhawm rau ua qhov no, qhib Cov Cuab Yeej -> Xaiv cov ntawv qhia zaub mov. Hauv cov kev xaiv, mus rau General -> EDA Tool xaiv. Peb pom ModelSim-Altera teb thiab hom C: / altera / 13.0sp1 / modelim_ase / win32aloem rau hauv nws lossis, los ntawm nias lub khawm nrog peb dots, peb saib cov npe no hauv peb lub koos pij tawj. Lawm, rau ib version ntawm Quartus uas tsis yog kuv li, koj yuav muaj koj txoj hauv kev rau "win32aloem" cov npe.

Txheeb xyuas txoj hauv kev rau qhov cuab yeej simulation ModelSim
Txheeb xyuas txoj hauv kev rau qhov cuab yeej simulation ModelSim

Kauj ruam 2

Koj muaj ib qhov haujlwm rau FPGA hauv Quartus II. Yuav ua li cas sau cov ntawv xeem, lossis testbenches (testbench) - qhov no yog lub ncauj lus rau ib kem tshooj. Tamsim no, cia peb xav hais tias koj txoj kev kuaj mob twb tau sau tseg lawm. Tam sim no koj yuav tsum qhia qhov chaw nthuav dav uas ntsuas koj xav siv thaum simulating. Txhawm rau ua qhov no, qhib cov nqis los ntawm cov ntawv qhia zaub mov Kev Ua Txhaum Cai-> Chaw … Nyob rau hauv lub qhov rai uas qhib, hauv EDA Tool Chaw-> Kev Ntsuas Tus Ntaus, nyem qhov Test Benches … khawm ntawm no, los ntawm txoj kev, koj tuaj yeem tsim ntau lub xeem thiab hloov mus rau qhov yuav tsum tau ua thaum muab kev ua qhov project.

Teeb tsa simulation tsis
Teeb tsa simulation tsis

Kauj ruam 3

Lub qhov rai rau kev tshuaj ntsuam qhib tau qhib. Peb tsis tau tsim ib qho kev sim lub rooj sib tw tseem tsis tau, yog li cov npe tsis tas. Nyem lub pob tshiab … Hauv qhov rai uas qhib, koj yuav tsum teeb tsa qhov ntsuas.

Rau sab laug ntawm lub nras, nyem rau lub pob nrog peb dots. Xaiv cov ntaub ntawv nrog testbench code thiab nyem Qhib. Tam sim no nyem qhov Add khawm. Kev ntsuam xyuas tau tshwm sim hauv cov npe ntawm kev ntsuam xyuas.

Tom qab ntawd, hauv lub tshav pob, teeb lub npe ntawm cov qauv qib siab tshaj plaws uas tau txhais hauv koj sim. Koj tuaj yeem sau txhua lub npe hauv daim teb, los ntawm lub neej ntawd nws yuav cia li raug tsim tib yam li lub npe ntawm tus qauv.

Ntawd yog nws, peb tau tsim lub hauv paus kuaj qhov chaw. Nyem OK ob zaug. Tam sim no peb qhov kev sim ntawd tau tshwm sim hauv cov npe poob hauv cov ntawv sib tw. Nyem OK ntxiv.

Ntxiv cov rooj sib tw rau txoj haujlwm
Ntxiv cov rooj sib tw rau txoj haujlwm

Kauj ruam 4

Yog tias koj tsis tau ua qhov synthesis ntawm qhov project no, ces nws yog lub sijhawm los ua nws. Xaiv Ua -> Pib -> Pib Tshawb Xyuas & Sib Tham los ntawm cov ntawv qhia ua zaub mov, lossis nias tus yuam sij sib txuas ua ke Ctrl + K, lossis nias lub pob nyem sib haum hauv lub vaj huam sib luag sab saum toj.

Peb tso qhov kev tsom xam thiab sib txuas ntawm peb tes num
Peb tso qhov kev tsom xam thiab sib txuas ntawm peb tes num

Kauj ruam 5

Simulation yuav pib tau. Xaiv Cov Cuab Yeej -> Khiav Cov Cuab Yeej Simulation-> RTL Simulation los ntawm cov ntawv qhia (1) lossis nyem lub RTL Simulation icon nyob rau sab saum toj vaj huam sib luag (2).

Khiav cov txheej txheem simulation hauv ModelSim
Khiav cov txheej txheem simulation hauv ModelSim

Kauj Ruam 6

Qhov cuab yeej ModelSim yuav pib, uas yuav ua rau txhua cov lus qhia sau rau hauv koj txoj kev kuaj sim, thiab nres (yog tias koj tau qhia qhov no nrog $ nres cov lus qhia hauv tus lej kuaj). Lub vijtsam yuav tso tawm cov qauv kev ntsuas ntawm FPGA cov tswv yim thiab cov phiaj xwm tawm suab uas koj tau piav qhia hauv koj qhov project.

Pom zoo: